Ecosyste.ms: Repos
An open API service providing repository metadata for many open source software ecosystems.
GitHub / KKtiandao / f4pga-arch-defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
JSON API: https://repos.ecosyste.ms/api/v1/hosts/GitHub/repositories/KKtiandao%2Ff4pga-arch-defs
Fork of f4pga/f4pga-arch-defs
Stars: 0
Forks: 0
Open Issues: 18
License: isc
Language:
Repo Size: 8.75 MB
Dependencies:
71
Created: about 2 years ago
Updated: about 1 year ago
Last pushed: about 1 year ago
Last synced: about 1 year ago
Files
Loading...
Readme
Loading...
Dependencies
.github/check-status/action.yml
actions
- Dockerfile * docker
- ./.github/check-status * composite
- actions/checkout v2 composite
- weslenng/gcp-storage-sync master composite
.github/workflows/ci.yml
actions
- actions/checkout v2 composite
- actions/upload-artifact v2 composite
- SymbiFlow/actions/update_conda_lock c6142a4ec7859653352757eb8f7c4630f63f96e3 composite
- actions/checkout v2 composite
- peter-evans/create-pull-request v4 composite
environment.yml
conda
- capnproto 0.8.0
- capnproto-java 0.1.5_0012_g44a8c1e
- cmake
- cython
- flake8
- gcc-riscv64-elf-newlib 9.2.0
- icestorm 0.0_0719_g792cef0
- iverilog s20150603_0957_gad862020
- libiconv
- libuuid
- libxml2 >=2.9.10
- make
- nodejs
- openjdk
- openocd 0.10.0_1514_ga8edbd020
- packaging 21.3.*
- pip
- pkg-config
- prjxray-db
- prjxray-tools
- surelog
- swig
- symbiflow-yosys-plugins
- vtr-optimized
- yosys
- zachjs-sv2v 0.0.5_0025_ge9f9696
- python alpine build
requirements.txt
pypi
- cairosvg *
- fasm *
- gitpython *
- hilbertcurve ==1.0.5
- intervaltree *
- mako *
- matplotlib *
- numpy *
- pdfminer.six *
- ply *
- progressbar2 *
- pycapnp ==1.0.0b1
- pyjson5 *
- pytest *
- python-constraint *
- python-fpga-interchange *
- pyyaml *
- quicklogic_fasm 57b6e60574a9d483dc94710d0d3ff42a62b4ec41
- rr-graph *
- scipy *
- simplejson *
- svgwrite *
- termcolor *
- textx *
- third_party *
- tinyfpgab *
- tinyprog *
- yapf ==0.26.0
- intervaltree * test
- lxml * test
- python-constraint * test
- simplejson * test