Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: uart-interface

spacemonochrome/rov_isa

STM32F103C8T6 işlemci modülü ile su altı aracı kontrol yazılımı. Raspberry Pi tarafında Python ile ve STM32 tarafında C dili ile 2 birim haberleşip ESC motor sürücülere gerekli PWM sinyalleri yollar

Language: C - Size: 20 MB - Last synced: 5 days ago - Pushed: 5 days ago - Stars: 0 - Forks: 0

dioannidis/usbasp

usbasp improved firmware

Language: C - Size: 4.73 MB - Last synced: 1 day ago - Pushed: 9 months ago - Stars: 68 - Forks: 10

andrejanowicz/metosi

C library to control Mettler Toledo scales with SICS interface (MT-SICS)

Language: C - Size: 150 KB - Last synced: about 1 month ago - Pushed: over 1 year ago - Stars: 1 - Forks: 2

ShellAddicted/BNO055ESP32

C++ Interface for the Bosch-Sensortec's BNO055 compatible with Espressif's ESP32 SoC running esp-idf.

Language: C++ - Size: 110 KB - Last synced: about 2 months ago - Pushed: 4 months ago - Stars: 22 - Forks: 9

maehw/DiyIrTower

Do-it-yourself infrared serial communication tower (compatible with LEGO IR serial tower)

Language: C - Size: 10.4 MB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 0 - Forks: 0

PowerBroker2/pySerialTransfer

Python package to transfer data in a fast, reliable, and packetized form

Language: Python - Size: 156 KB - Last synced: 2 months ago - Pushed: 2 months ago - Stars: 127 - Forks: 32

k-m-arun-kumar-5/Motor_Ctrl_by_CLI

Motor Power Control using AT89C52 Microcontroller with CLI Command using UART interface

Language: C - Size: 308 KB - Last synced: 3 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

k-m-arun-kumar-5/Build-scanf-printf

LPC2138 microcontroller for implementation of function operation of scanf, sscanf, printf, sprintf, gets, puts, putchar and getchar.

Language: C - Size: 646 KB - Last synced: 3 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

MohmedAshrf/OnCare-app

IoT Healthcare App

Language: Jupyter Notebook - Size: 2.6 MB - Last synced: 30 days ago - Pushed: about 2 years ago - Stars: 3 - Forks: 0

vicharak-in/APB_BUS_VAAMAN_VERILOG

Verilog implementation of APB bus using VAAMAN.

Language: Verilog - Size: 19.5 KB - Last synced: 5 months ago - Pushed: 5 months ago - Stars: 1 - Forks: 0

kevintom98/Angle-Gauge-FRDM-KL25Z

Angle Gauge developed using NXP's FRDM KL25Z. Has many features like calibration,command processing, LED indication, Real-time Angle measurement, etc.

Language: C - Size: 3.29 MB - Last synced: 6 months ago - Pushed: over 2 years ago - Stars: 1 - Forks: 0

0marAmr/UART-Interface

Design of Universal Asynchronous Receiver Transmitter Interface using verilog HDL

Language: Verilog - Size: 1.31 MB - Last synced: 6 months ago - Pushed: 6 months ago - Stars: 0 - Forks: 0

NaoNaoMe/General-Serial-Communication-Board

The General Serial Communication Board is an USB to Serial converter with FTDI Chipset.

Language: Eagle - Size: 649 KB - Last synced: 8 months ago - Pushed: about 7 years ago - Stars: 0 - Forks: 1

vasilievsv/mitm_rs485 📦

Исследование техники перехвата RS-485

Language: C++ - Size: 299 KB - Last synced: 8 months ago - Pushed: about 2 years ago - Stars: 1 - Forks: 0

andrea-cpu96/Bare-Metal-Code

Here some bare metal codes for arm-Cortex M4 based stm32f446re microcontroller.

Language: Assembly - Size: 747 KB - Last synced: 9 months ago - Pushed: 9 months ago - Stars: 0 - Forks: 0

abdalla1912mohamed/-AES-encryption-and-decryption-platform-in-FPGA-communication

implementing a protected communication platform between 2 FPGA's. Data is entered through a keyboard-FPGA interface then the data is encrypted using AES encryption and sent to the second FPGA where the decryption occurs if the decryption key is given and the data is displayed using an FPGA-LCD interface using VHDL scripts

Language: C - Size: 1.14 MB - Last synced: 9 months ago - Pushed: over 1 year ago - Stars: 2 - Forks: 0

cool4uma/UART_Terminal

Uart terminal for Flipper Zero

Language: C - Size: 51.8 KB - Last synced: 9 months ago - Pushed: 9 months ago - Stars: 78 - Forks: 14

PaulClas/inf1995

Polytechnique H2018 - Cours INF1995: Projet Initial en ingénierie informatique et travail en équipe.

Language: C++ - Size: 29.8 MB - Last synced: 10 months ago - Pushed: about 6 years ago - Stars: 0 - Forks: 0

dioannidis/libUSBUARTTerminal

An Object Pascal UART Terminal for USBasp UART firmwares.

Language: Pascal - Size: 40.8 MB - Last synced: 9 months ago - Pushed: 9 months ago - Stars: 5 - Forks: 3

llegoff/USB_RS232

ch340 usb to rs232 PiZero format

Size: 400 KB - Last synced: 10 months ago - Pushed: over 6 years ago - Stars: 0 - Forks: 0

saqib1707/R-3.142..-Summer-Project

Contains our SURP project-assembly code of Broadcom2835 processor as well as interfacing between linux host and Raspberry pi

Size: 538 KB - Last synced: 10 months ago - Pushed: almost 8 years ago - Stars: 2 - Forks: 0

MortadhaDAHMANI/Py-SIM800L-USSD

USSD sender/decoder with AT Commands - PySerial - python environment

Language: Python - Size: 385 KB - Last synced: 10 months ago - Pushed: 10 months ago - Stars: 5 - Forks: 1

Bkisa/Uart

Language: VHDL - Size: 81.1 KB - Last synced: 11 months ago - Pushed: almost 5 years ago - Stars: 1 - Forks: 0

aabadie/riot-apps

Examples of applications based on RIOT-OS

Language: C - Size: 339 KB - Last synced: 11 months ago - Pushed: about 7 years ago - Stars: 8 - Forks: 4

Sahilsb5137/UART_DeviceDriver_Embedded_BareMetal

This repository contains setting up the UART_TxRx device driver code using BareMetal programming

Size: 1.6 MB - Last synced: 12 months ago - Pushed: 12 months ago - Stars: 0 - Forks: 0

nopnop2002/esp-idf-sc16is750

sc16is750/752 driver for esp-idf

Language: C - Size: 73.2 KB - Last synced: 10 months ago - Pushed: 10 months ago - Stars: 3 - Forks: 0

TimRudy/uart-verilog

A simple 8 bit UART implementation in Verilog, with tests and timing diagrams

Language: Verilog - Size: 26.7 MB - Last synced: about 1 year ago - Pushed: about 1 year ago - Stars: 1 - Forks: 0

techcentaur/UART-Project

Universal Asynchronous Receiver-Transmitter. Semester project of Digital Logic and System Design course of fall 2017, IIT Delhi.

Language: VHDL - Size: 2.86 MB - Last synced: about 1 year ago - Pushed: over 6 years ago - Stars: 2 - Forks: 0

bcdevices/ftdi Fork of ziutek/ftdi

Go binding for libFTDI

Language: C - Size: 469 KB - Last synced: 11 months ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

suoglu/UART-Tool

Serial communication tool written in Python 3

Language: Python - Size: 119 KB - Last synced: 11 months ago - Pushed: over 2 years ago - Stars: 2 - Forks: 0

maehw/wokwi-verilog-gds-lowspeed-tiny-uart

300 baud 8N1 UART transmitter with limited character set (0x40..0x5F) loading as ASIC design

Language: Verilog - Size: 139 KB - Last synced: over 1 year ago - Pushed: over 1 year ago - Stars: 1 - Forks: 1

GoodDisplay/mmWaveRadar

GoodDisplay-Link for mmWave Radar Sensor

Size: 40.3 MB - Last synced: 5 months ago - Pushed: over 1 year ago - Stars: 2 - Forks: 1

danko-david/uartbus

A library that turns microcontroller's UART peripheral to a simple, multi-master bus system. The project also features an RPC library for a microcontroller, device auto-discovery, code upload, gateway between the bus and PC, java code to manage microcontroller's RPC on a higher level of abstraction.

Language: Java - Size: 2.85 MB - Last synced: over 1 year ago - Pushed: over 3 years ago - Stars: 3 - Forks: 1

KarthikGangadhar/lcr_meter

The goal of this project is design a system capable of measuring resistance, inductance (and ESR), and capacitance

Language: C - Size: 169 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 2 - Forks: 1

NestorDP/libserial

C++ interface to termios.h C++ interface to termios.h C language library

Language: C++ - Size: 70.3 KB - Last synced: over 1 year ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

AtaberkOKLU/STM32L476-BootLoader

The purpose of this project is to bypass the physical requirements of the BootLoader access. The approach is the trigger the MCU to enter BootLoader Mode via UART by only using Tx, Rx and Gnd pins. Moreover, the trigger UART port can also be used to program the MCU.

Language: C - Size: 28.6 MB - Last synced: about 1 year ago - Pushed: over 1 year ago - Stars: 2 - Forks: 2

celikslab/platformio_cpp_ws

Arduino Cpp Codebase for Platformio

Language: C++ - Size: 4.88 KB - Last synced: about 1 year ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

yeonzi/FT232_Module

a simple FT232RL unit

Language: Eagle - Size: 20.5 KB - Last synced: over 1 year ago - Pushed: about 7 years ago - Stars: 2 - Forks: 0

sophiessa/remote-gps-tracking

In this repository you can find how to make your own GPS tracker that can be monitored over the internet

Language: Dart - Size: 10.7 KB - Last synced: over 1 year ago - Pushed: almost 2 years ago - Stars: 0 - Forks: 1

nhasbun/uart_core_lib

Driver - Library for C applications using Altera's UART Core through Avalon Bus on Cyclone V.

Language: C - Size: 6.84 KB - Last synced: over 1 year ago - Pushed: almost 7 years ago - Stars: 2 - Forks: 3

nhasbun/uart_16550_core_lib

Altera wrappers for C applications using Altera's 16550 UART Core through Avalon Bus on Cyclone V.

Language: C - Size: 7.81 KB - Last synced: over 1 year ago - Pushed: over 6 years ago - Stars: 2 - Forks: 2

UttamBasu/16-bit-PIC-Microcontroller-to-Arduino-Multiple-Data-Transfer-using-UART

This Program is for both Transmitter side and Receiver side. This will transfer multiple variable value at a same time from 16 bit PIC24F to Arduino using UART Communication. The transmitter part will be interrupt by a timer of PIC24F. The receiver side will decode and separate all the received variable value.

Language: C - Size: 324 KB - Last synced: about 1 year ago - Pushed: about 2 years ago - Stars: 1 - Forks: 0

yasnakateb/UARTCommunication

☎️ UART Communication Implementation in Verilog HDL

Language: Verilog - Size: 4.88 KB - Last synced: over 1 year ago - Pushed: about 2 years ago - Stars: 0 - Forks: 0

NathanBeal/WimpFi_Wireless_Network

A localized wireless communication system capable of transmitting and receiving data packets to and from peer systems. This project was developed in SystemVerilog and deployed to an FPGA board.

Language: VHDL - Size: 41.4 MB - Last synced: 4 months ago - Pushed: over 2 years ago - Stars: 1 - Forks: 0

kattaliraees/Py-CY7C6521x

Python interfacing with GPIO for a custom CY7C65215 board in macOS.

Language: C - Size: 310 KB - Last synced: over 1 year ago - Pushed: almost 3 years ago - Stars: 0 - Forks: 0

MortadhaDAHMANI/Py-SIM800L-SMS

SMS sender with AT Commands - PySerial - python environment

Language: Python - Size: 326 KB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 1 - Forks: 0

pilotak/AsynchSerial

Wrapper around mbed UARTSerial with added timeouts

Language: C++ - Size: 7.81 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 2 - Forks: 1

howlettjames/Embedded-Systems

This repository contains practices and projects related to the subject Embedded Systems at ESCOM - IPN.

Language: C - Size: 1.5 MB - Last synced: about 1 year ago - Pushed: almost 4 years ago - Stars: 0 - Forks: 0

MikroElektronika/USB_UART_4_click

Library for FT232RL.

Language: C - Size: 657 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 1 - Forks: 0

MikroElektronika/USB_UART_3_click

Library for CP2102N.

Language: C - Size: 578 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 0 - Forks: 0