Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: hardware-designs

HDLGen-ChatGPT/HDLGen-ChatGPT

HDLGen-ChatGPT, works in tandem with ChatGPT-3.5 chat interface to enable fast digital systems design and test specification capture, and automatic generation of both VHDL and Verilog models, and testbenches, and AMD Vivado and Intel Quartus Electronic Design Automation (EDA) project

Language: Python - Size: 125 MB - Last synced: about 9 hours ago - Pushed: about 10 hours ago - Stars: 13 - Forks: 10

ytmytm/c128dcr-DolphinDOS3

DolphinDOS 3 board for (but not only) C128DCR internal 1571

Size: 7.49 MB - Last synced: 1 day ago - Pushed: 1 day ago - Stars: 2 - Forks: 0

hughperkins/VeriGPU

OpenSource GPU, in Verilog, loosely based on RISC-V ISA

Language: SystemVerilog - Size: 6.75 MB - Last synced: 3 days ago - Pushed: 3 days ago - Stars: 628 - Forks: 74

openenergymonitor/emonpi

Raspberry Pi Based Energy Monitor. Hardware, Firmware & related software for the PI.

Language: C++ - Size: 12.8 MB - Last synced: 1 day ago - Pushed: 7 days ago - Stars: 270 - Forks: 113

Pritam-Sethuraman/4x1-Multiplexer

Language: SystemVerilog - Size: 0 Bytes - Last synced: 4 days ago - Pushed: 4 days ago - Stars: 0 - Forks: 0

cpc/openasip

Open Application-Specific Instruction Set processor tools (OpenASIP)

Language: C - Size: 289 MB - Last synced: 4 days ago - Pushed: 29 days ago - Stars: 133 - Forks: 39

MuratovAS/KiCadLibrary

Component's library for KiCad

Language: Python - Size: 64.4 MB - Last synced: 4 days ago - Pushed: 4 days ago - Stars: 3 - Forks: 3

aliemo/transfomers-silicon-research

Research and Materials on Hardware implementation of Transformer Model

Language: Jupyter Notebook - Size: 1.45 MB - Last synced: 6 days ago - Pushed: 6 days ago - Stars: 152 - Forks: 21

lhc/Hardware

Open Hardware respository from Laboratório Hacker de Campinas

Language: Assembly - Size: 24.3 MB - Last synced: 7 days ago - Pushed: 8 days ago - Stars: 2 - Forks: 0

midilab/aciduino

Affordable and DIY, Roland TB-303 and TR-808 step sequencer clone and midi controller. Packed with additional features, specifically designed for musicians and DJs to use on live performances or streamline their studio creative process.

Language: C - Size: 106 MB - Last synced: about 9 hours ago - Pushed: about 10 hours ago - Stars: 210 - Forks: 28

arm-university/Modern-System-on-Chip-Design-on-Arm

A textbook on system on chip design using Arm Cortex-A

Size: 40.8 MB - Last synced: 9 days ago - Pushed: 9 days ago - Stars: 7 - Forks: 1

CrabikBoards/slot-esp32-s3-hardware

Crabik Slot ESP32-S3 Hardware

Size: 4.79 MB - Last synced: 9 days ago - Pushed: about 1 year ago - Stars: 0 - Forks: 0

mikeroyal/VHDL-Guide

VHDL Guide

Language: VHDL - Size: 135 KB - Last synced: 4 days ago - Pushed: over 2 years ago - Stars: 37 - Forks: 4

AndReGeist/wheelbot-v2.5

Language: Jupyter Notebook - Size: 3.41 MB - Last synced: 2 days ago - Pushed: 10 months ago - Stars: 114 - Forks: 30

LimeMOCAP/LimeTK_SomeSlime-MCU

A hardware design(PCB only) for SlimeVR Tracker

Size: 61.5 KB - Last synced: 10 days ago - Pushed: 10 days ago - Stars: 0 - Forks: 0

Archfx/sweetRV

sweetRV 🧁 is a SoC with a minimal RISC-V processor with firmware for IceSugar-Nano FPGA

Language: C++ - Size: 317 MB - Last synced: 10 days ago - Pushed: 10 days ago - Stars: 2 - Forks: 1

mikeroyal/CPLD-Guide

Complex Programmable Logic Device (CPLD) Guide

Language: Verilog - Size: 134 KB - Last synced: 4 days ago - Pushed: over 2 years ago - Stars: 29 - Forks: 1

RobinHodson/FPGA

FPGA^2, an OSHW FPGA, initially running in its own online circuit simulator.

Size: 662 KB - Last synced: 12 days ago - Pushed: 12 days ago - Stars: 0 - Forks: 0

FrameworkComputer/ExpansionCards

Reference designs and documentation to create Expansion Cards for the Framework Laptop

Language: OpenSCAD - Size: 933 KB - Last synced: 13 days ago - Pushed: 14 days ago - Stars: 776 - Forks: 47

intel/systemc-compiler

This tool translates synthesizable SystemC code to synthesizable SystemVerilog.

Language: C++ - Size: 20.2 MB - Last synced: 14 days ago - Pushed: 14 days ago - Stars: 226 - Forks: 36

HDLGen-ChatGPT/PYNQ-SoC-Builder

This project automates process of creating a PYNQ Z2 Overlay in Vivado, generates a custom Juypter Notebook template and uploads to a target PYNQ FPGA.

Language: Python - Size: 18.6 MB - Last synced: 14 days ago - Pushed: 14 days ago - Stars: 1 - Forks: 1

root-afk/drv8714

drv8714 based ESC @ 24V 60A

Size: 3.3 MB - Last synced: 15 days ago - Pushed: 15 days ago - Stars: 0 - Forks: 0

scutdig/PyChip-py-hcl

A Hardware Construct Language

Language: Python - Size: 33.4 MB - Last synced: 17 days ago - Pushed: almost 2 years ago - Stars: 38 - Forks: 13

arhamhashmi01/Axi4-lite

This repository contains the implementation of AXI4-Lite interface protocol on system verilog for FPGA/ASIC communication. Modular codebase with example designs and testbench.

Language: SystemVerilog - Size: 390 KB - Last synced: 18 days ago - Pushed: 19 days ago - Stars: 0 - Forks: 0

Electrostat-Lab/AVR-Sandbox

Dig deeper into the AVR-WORLD using the 8-bit mcu avr devices on a special shell environment.

Language: Java - Size: 140 MB - Last synced: 20 days ago - Pushed: 10 months ago - Stars: 9 - Forks: 2

byuccl/spydrnet

A flexible framework for analyzing and transforming FPGA netlists. Official repository.

Language: Python - Size: 46 MB - Last synced: 16 days ago - Pushed: 3 months ago - Stars: 85 - Forks: 19

Frank-Zeyda/esg-gdl

Embedded Systems Geeks Guadalajara - Collaboration Space

Language: C - Size: 30.3 MB - Last synced: 20 days ago - Pushed: 20 days ago - Stars: 1 - Forks: 1

icarogby/flooat

A hardware description and simulation language that allows users to simulate the behavior of a hardware system in a easy, intuitive and fast way.

Language: Python - Size: 22.5 KB - Last synced: 20 days ago - Pushed: 20 days ago - Stars: 0 - Forks: 0

loypt/computer-hardware-design

Hust Courses for learning Computer hardware design,also It's the experiment of COA(Computer Organization and Architecture)

Size: 13 MB - Last synced: 21 days ago - Pushed: almost 3 years ago - Stars: 0 - Forks: 0

aravinds-arv/Surface-mounted-power-bank Fork of Anand-PS/Surface-mounted-power-bank

Here is a system to instantaneously charge your smartphone within a SNAP⚡

Size: 19 MB - Last synced: 21 days ago - Pushed: over 2 years ago - Stars: 0 - Forks: 0

stiliajohny/hardware-sms-temperature-sensor

A temperature sensore using DHT11 and SIM800L that replies back to you with SMS

Language: C++ - Size: 765 KB - Last synced: 21 days ago - Pushed: 5 months ago - Stars: 1 - Forks: 0

arhamhashmi01/rv32i-sv

This repository contain the implementation of RV32I 5-Stage-Pipeline-Processor based on RISC-V ISA and designed on System Verilog

Language: SystemVerilog - Size: 36.1 KB - Last synced: 21 days ago - Pushed: 21 days ago - Stars: 2 - Forks: 2

Caraveo/Zia

The Open Platform for Spatial Computing (Augmented Reality)

Size: 205 MB - Last synced: 21 days ago - Pushed: 6 months ago - Stars: 1 - Forks: 0

montao/nios2-mmu

Design MMU for socfpga-linux 4.11. Test with Altera DE2-115.

Language: Verilog - Size: 31.3 MB - Last synced: 22 days ago - Pushed: about 7 years ago - Stars: 2 - Forks: 2

s-light/magic_crystal

CAD design for magic sparkle crystal

Size: 24.8 MB - Last synced: 22 days ago - Pushed: about 5 years ago - Stars: 1 - Forks: 0

s-light/LEDBoard_4x4_16bit

LEDBoard with 4x4 Nichia SMD-LEDs with controller for individual 16bit-channel control

Size: 53.2 MB - Last synced: 22 days ago - Pushed: over 3 years ago - Stars: 2 - Forks: 0

kewljedi/keyboard

These are the designs for the macro keyboard i want to use.

Language: C - Size: 393 KB - Last synced: 23 days ago - Pushed: over 3 years ago - Stars: 0 - Forks: 0

zeinebelarbi/Temperature-and-Humidity-data-logger

2-layer PCB project, in which I applied different communication protocols such I2C and SPI

Size: 0 Bytes - Last synced: 26 days ago - Pushed: 26 days ago - Stars: 0 - Forks: 0

rohittp0/chipon

PyTorch to Verilog transpiler

Language: Python - Size: 44.3 MB - Last synced: 21 days ago - Pushed: 2 months ago - Stars: 4 - Forks: 2

Soneeca/PCS3225

Introduction to hardware synthesis and hardware design.

Language: VHDL - Size: 18.6 KB - Last synced: 26 days ago - Pushed: 27 days ago - Stars: 0 - Forks: 0

linyuxuanlin/Wiki_MkDocs

基于 MkDocs & Material theme 的个人知识库

Language: Python - Size: 46.5 MB - Last synced: 30 days ago - Pushed: 30 days ago - Stars: 120 - Forks: 29

Qyt0109/My-own-RISC-V-ISA-based-CPU-on-FPGAs

RISC-V is an open-source instruction set architecture (ISA), enabling the implementation of central processing units (CPUs) or system-on-a-chip (SoC) designs without licensing fees. This makes it highly favored among FPGA enthusiasts for softcore processor implementations.

Language: Verilog - Size: 7.18 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 1 - Forks: 0

bugzmanov/mechanical_sympathy

Curated list of resources dedicated to hardware and low level design

Size: 92.8 KB - Last synced: 29 days ago - Pushed: about 1 year ago - Stars: 46 - Forks: 4

Shapirogilad/100DaysOfRTL

The final product is amazing - a small and simple RISC-V processor that I implemented myself. The assignments are gradual and each stage makes use of the tools I have acquired so far.

Language: SystemVerilog - Size: 30.3 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 0 - Forks: 0

MUTEtheCyberwolf/VALVE-INDEX-DEV-Frunk-1.0

Valve Index DEV Frunk 1.0 Custom made to give the Index more Mounting Options for Adapters and DIY Devices. All Modifications that i create are Reversible and will not damage the Product. (Except you try to break it on purpose)

Size: 108 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 32 - Forks: 1

NSU-ACM-SC/16bit_processor_design

Size: 292 KB - Last synced: about 1 month ago - Pushed: over 5 years ago - Stars: 3 - Forks: 0

ami-iit/paper_sartore_2022_humanoids_ergonomic_design

Humanoids 2022 Paper

Language: Python - Size: 64.5 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 5 - Forks: 3

sparkfun/Graphical_Datasheets

Code, final versions, and information on the Sparkfun Graphical Datasheets

Language: Python - Size: 167 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 128 - Forks: 18

kaist-silab/devformer

[ICML 2023] Official code for "DevFormer: A Symmetric Transformer for Context-Aware Device Placement"

Language: Python - Size: 42.9 MB - Last synced: 17 days ago - Pushed: 8 months ago - Stars: 16 - Forks: 0

OJB-Quantum/Qiskit-Metal-to-Litho

From Qiskit Metal to pattern generation to real nanofabrication demo. Here, quantum devices on a chip are patterned via direct-write electron-beam lithography in a nanofabrication facility. Formed & written by Onri Jay Benally.

Language: Jupyter Notebook - Size: 79.1 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 23 - Forks: 7

Shapirogilad/Build-a-Modern-Computer-from-First-Principles-From-Nand-to-Tetris

In this project-centered course I built a modern computer system (The Hack Computer), from the ground up.

Language: Assembly - Size: 90.8 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 0 - Forks: 0

CES5209/One-Button-Match-All-Flight-Simulator-Controllerr

a Flight Sim hardware which enables a single toggle switch to match with multiple buttons in the FS. It's just a simple realization of my ideas which only shows the main structure of how it functions, with many more practical problems to be solved.

Language: C++ - Size: 75.2 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 1 - Forks: 0

muhammadtalhasami/rv32I_single_cycle_logisim

An implementation of rv32i single cycle processor on logisim

Size: 149 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 0 - Forks: 0

Global-Health-Engineering/glass-crusher-design

Language: JavaScript - Size: 1.26 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 0 - Forks: 0

IBM/NOVIA

NOVIA ontology toolset for discovery of unconventional inline accelerators

Language: C++ - Size: 3.99 MB - Last synced: about 1 month ago - Pushed: 3 months ago - Stars: 7 - Forks: 4

46nori/ATmega1284EthernetController

Size: 382 KB - Last synced: about 2 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

Gekkio/gb-hardware

Game Boy -related custom hardware by gekkio

Language: Shell - Size: 37.6 MB - Last synced: about 1 month ago - Pushed: 10 months ago - Stars: 297 - Forks: 49

arhamhashmi01/sv-practice

This repository contains an extensive learning journey of SystemVerilog, exercises and projects to enhance the understanding and proficiency in the hardware description language

Language: SystemVerilog - Size: 6.84 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 0 - Forks: 0

shyamal-anadkat/WISC-SP13

CS 552 term project : functional design of a microprocessor called the WISC-SP13

Language: Assembly - Size: 148 MB - Last synced: 29 days ago - Pushed: about 7 years ago - Stars: 4 - Forks: 6

muhammadtalhasami/RV32I_Single_Cycle

This repository contains an implementation of a RV32I fetch pipeline microprocessor. The RV32I is a 32-bit RISC-V instruction set architecture, with the 'I' extension indicating the base integer instructions.

Language: Verilog - Size: 159 KB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 2 - Forks: 0

rohittp0/IPRM

An NIC add-on module to parse and process UDP packet on hardware layer itself.

Language: Python - Size: 119 MB - Last synced: 21 days ago - Pushed: about 2 months ago - Stars: 0 - Forks: 1

byuccl/spydrnet-tmr

TMR utilities for the SpyDrNet project

Language: Python - Size: 10.7 MB - Last synced: 16 days ago - Pushed: 7 months ago - Stars: 4 - Forks: 2

mpvano/radiohat

The RadioHat version 1.0 HF radio hardware, test files and other documents

Language: Python - Size: 17.1 MB - Last synced: about 2 months ago - Pushed: about 1 year ago - Stars: 2 - Forks: 3

yasir-shahzad/MCP2515-CAN-Bus-Module

MCP2515 and TJA1050 CAN Bus Module

Size: 9.25 MB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 1 - Forks: 0

hclhls/learn_hwt

Examples with HWToolkit (A Python-based hardware construction framework)

Language: Python - Size: 430 KB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 0 - Forks: 0

tgtakaoka/RetroCyborg

Retro CPU single board computer, with debugger, assembler, disassember

Language: Assembly - Size: 11 MB - Last synced: 2 months ago - Pushed: 2 months ago - Stars: 4 - Forks: 0

LUMERIIX/FrequencyCounter

FPGA based frequnecy counter hard- and software repository

Language: VHDL - Size: 238 MB - Last synced: 2 months ago - Pushed: over 2 years ago - Stars: 5 - Forks: 4

satiwari26/RISC-V-Assembly-code

This repository contains a collection of assembly code examples written specifically for the OTTER architecture.

Language: Assembly - Size: 7.81 KB - Last synced: about 1 month ago - Pushed: about 1 year ago - Stars: 1 - Forks: 0

sahmad98/HardwareDesigns

Few of my VHDL hardware design for Xilinx Spartan 6 board

Language: VHDL - Size: 10.7 KB - Last synced: 2 months ago - Pushed: almost 6 years ago - Stars: 1 - Forks: 0

pradeepsinngh/Vision-based-Toll-Tax-Collection-System

Real-time implementation of Automatic Toll Tax collection system

Language: C - Size: 2.18 MB - Last synced: 2 months ago - Pushed: over 7 years ago - Stars: 0 - Forks: 1

littleblackfish/insole

A pressure-sensitive insole project

Language: Processing - Size: 22.3 MB - Last synced: 2 months ago - Pushed: about 7 years ago - Stars: 1 - Forks: 0

arminkz/ArduinoLab

Experiments with Arduino ∞

Language: C++ - Size: 385 KB - Last synced: 2 months ago - Pushed: about 6 years ago - Stars: 0 - Forks: 0

VLSI-EDA/PoC

IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany

Language: VHDL - Size: 4.96 MB - Last synced: about 2 months ago - Pushed: over 3 years ago - Stars: 511 - Forks: 95

stonne-simulator/stonne

STONNE: A Simulation Tool for Neural Networks Engines

Language: C++ - Size: 81.2 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 107 - Forks: 26

cartheur/analogue-metal-circuits

A collection of circuits for a foray into the metal project.

Size: 1010 KB - Last synced: 2 months ago - Pushed: 2 months ago - Stars: 1 - Forks: 0

Nic30/hwtLib

hardware library for hwt (= ipcore repo)

Language: Python - Size: 6.1 MB - Last synced: 7 days ago - Pushed: about 1 month ago - Stars: 32 - Forks: 6

angeliaplutus/ipcoredesign

Design & Verification of IP Cores and ICs, Artificial Intelligence

Language: VHDL - Size: 18.4 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 1 - Forks: 1

vedic-partap/Computer-Organization-and-Architecture-LAB

Solution to COA LAB Assgn, IIT Kharagpur

Language: Verilog - Size: 1.78 MB - Last synced: 25 days ago - Pushed: over 5 years ago - Stars: 34 - Forks: 9

RPTU-EIS/ADSProject

This repository contains the basic files for the class project of the course "Architecture of Digital Systems I"

Language: Scala - Size: 1.37 MB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 1 - Forks: 2

netik/dc27_badge

Defcon 27 "DaBomb!" badge.

Language: C - Size: 515 MB - Last synced: about 1 month ago - Pushed: almost 5 years ago - Stars: 29 - Forks: 9

gorand2/heptane

A 9-10 issue OoO multi size RISC CPU (rated as 6 issue CISC) with complex load/store addressign e.g. base+scaled index + offset (and also base +offset, and IP relative) and also multiple jump types e.g. compare reg,reg -> label, compare reg, imm -> label, condition ->label (ordinary jump)

Language: HTML - Size: 66.8 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 1 - Forks: 0

dsa-shua/FPGA-SystolicArray

Working 8x8 systolic array hardware implemented in Xilinx Vivado, operated and controlled in software using Xilinx Vitis

Language: SystemVerilog - Size: 1.59 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 0 - Forks: 0

prantoamt/16bit_processor_design

Size: 292 KB - Last synced: about 1 month ago - Pushed: over 5 years ago - Stars: 11 - Forks: 6

TJLTM/MakeItRain

DIY ESP-32 Based sprinkler Controller

Language: HTML - Size: 5.55 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 2 - Forks: 1

FranciscoEngenheiro/ticket-machine-fpga

Train ticket vending machine application designed for execution on an FPGA system. The application allows users to purchase tickets for various destinations and includes maintenance functionalities.

Language: Kotlin - Size: 10.8 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

bensampson5/libsv

An open source, parameterized SystemVerilog digital hardware IP library

Language: SystemVerilog - Size: 462 KB - Last synced: 4 days ago - Pushed: over 2 years ago - Stars: 19 - Forks: 4

sifive/RiscvSpecFormal

The RiscvSpecKami package provides SiFive's RISC-V processor model. Built using Coq, this processor model can be used for simulation, model checking, and semantics analysis. The RISC-V processor model can be output as Verilog and simulated/synthesized using standard Verilog tools.

Language: Haskell - Size: 26.8 MB - Last synced: 25 days ago - Pushed: about 4 years ago - Stars: 74 - Forks: 8

mohamedyanis/STM32L0-ESP32-Breakout-Board

STM32L0 and ESP32 MCU based PCB for PID and IOT applications designed using KiCad.

Size: 18.2 MB - Last synced: 4 months ago - Pushed: about 1 year ago - Stars: 5 - Forks: 0

sini6a/internet-radio-speaker

An open-source ESP32 Internet Radio Player with simple programming, USB charging, and on-the-go capability using a single-cell Li-ion battery.

Size: 2.68 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

siorpaes/SimpleSoC

Very simple Cortex-M1 SoC design based on ARM DesignStart

Language: C - Size: 206 KB - Last synced: 4 months ago - Pushed: over 2 years ago - Stars: 8 - Forks: 2

siorpaes/BareBonesCortexM0

Extremely basic CortexM0 SoC based on ARM DesignStart Eval

Language: Verilog - Size: 106 KB - Last synced: 4 months ago - Pushed: over 5 years ago - Stars: 19 - Forks: 9

46nori/Z80Atmega128

Real Z80A system with I/O emulated by AVR(ATmega128)

Language: C - Size: 12.4 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 4 - Forks: 1

Rdisrael/Projeto-ensino-Easy-EDA

Repository of projects carried out during the teaching project. contains: booklets, four projecs and EasyEDA files for download

Size: 26.2 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0

DigiTorus86/ESP32-R4ge-Pro

Software and additional resources for the ESP32-R4ge-Pro badge.

Language: C - Size: 1.43 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 2 - Forks: 2

ttwag/P11_LRU_Cache

Simulate a fully-associative LRU cache with user-modifiable block number

Language: C++ - Size: 489 KB - Last synced: 5 months ago - Pushed: 5 months ago - Stars: 0 - Forks: 0

gabrielvegamotta/PCB-Reflow

A PCB that can help you reflow and solder your SMD components.

Language: C++ - Size: 18.7 MB - Last synced: 5 months ago - Pushed: about 1 year ago - Stars: 1 - Forks: 0

cubeli27/LadyBug

Embedded Robotics Platform Optimized for Multi-Servo Applications

Language: C - Size: 18.3 MB - Last synced: 5 months ago - Pushed: 5 months ago - Stars: 1 - Forks: 0

janc18/CAE32

Simracing equipment, including hardware, software, and firmware design.

Language: C - Size: 9.17 MB - Last synced: 2 months ago - Pushed: 2 months ago - Stars: 3 - Forks: 1

arxiver/Pipelined-MIPS

MIPS Pipelined CPU simulation using VHDL language

Language: VHDL - Size: 1.53 MB - Last synced: 2 days ago - Pushed: almost 4 years ago - Stars: 6 - Forks: 0

Rdisrael/KiCad-Projeto-Ensino-

Repository of projects carried out during the teaching project. contains: booklets, five projecs and KiCad files

Size: 125 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 0 - Forks: 0