Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: hardware-architectures

bugzmanov/mechanical_sympathy

Curated list of resources dedicated to hardware and low level design

Size: 92.8 KB - Last synced: about 1 month ago - Pushed: about 1 year ago - Stars: 46 - Forks: 4

Julio-Guerra/mips

Language: C++ - Size: 1.03 MB - Last synced: 3 months ago - Pushed: almost 9 years ago - Stars: 2 - Forks: 0

p4fpga/p4fpga

P4-14/16 Bluespec Compiler

Language: Bluespec - Size: 9.85 MB - Last synced: 3 months ago - Pushed: over 6 years ago - Stars: 81 - Forks: 26

jofrfu/tinyTPU

Implementation of a Tensor Processing Unit for embedded systems and the IoT.

Language: VHDL - Size: 1.42 MB - Last synced: 7 months ago - Pushed: over 5 years ago - Stars: 309 - Forks: 59

erbilnas/cs-sakaryauniversity 📦

Sakarya Üniversitesi'nde okuduğum süre boyunca karşıma çıkan tüm ödevler, ders notları ve çıkmış sınav soruları (All the assignments, lecture notes and exams)

Language: HTML - Size: 1.58 GB - Last synced: 7 months ago - Pushed: over 1 year ago - Stars: 197 - Forks: 97

s34s0n/multiarch

Get a quick start in reversing binaries made in obscure archs such as avr mips etc

Language: C - Size: 2.13 MB - Last synced: 7 months ago - Pushed: about 4 years ago - Stars: 4 - Forks: 7

jaimedantas/DC_Control

Multiplatform Software to Manage, Monitor and Control SNMP Devices (2017)

Language: Java - Size: 24.6 MB - Last synced: 10 months ago - Pushed: about 7 years ago - Stars: 0 - Forks: 0

Paebbels/PicoBlaze-Library

The PicoBlaze-Library offers several PicoBlaze devices and code routines to extend a common PicoBlaze environment to a little System on a Chip (SoC or SoFPGA).

Language: VHDL - Size: 12.7 MB - Last synced: 10 months ago - Pushed: over 3 years ago - Stars: 29 - Forks: 11

zhangmozhe/microshift_compression

Microshift Compression: An Efficient Image Compression Algorithm for Hardware

Language: Verilog - Size: 48.9 MB - Last synced: about 1 year ago - Pushed: about 3 years ago - Stars: 23 - Forks: 10

Merterm/BRAIN-M

Brilliantly Radical Artificially Intelligent Neural Machine

Language: Verilog - Size: 1.49 MB - Last synced: over 1 year ago - Pushed: over 6 years ago - Stars: 10 - Forks: 2

jKulrativid/IAS-Computer

16-bit CPU with 4kB RAM

Size: 23.5 MB - Last synced: about 1 year ago - Pushed: almost 2 years ago - Stars: 1 - Forks: 1

egk696/an-ethernet-controller

A lightweight Ethernet MAC Controller IP for FPGA prototyping

Language: Scala - Size: 50.8 KB - Last synced: about 1 year ago - Pushed: over 3 years ago - Stars: 6 - Forks: 1

ALSETLab/Audur

Audur - A Platform for Synchrophasor/PMU-Based Power System Wide-Area Control System Implementation

Language: LabVIEW - Size: 42.6 MB - Last synced: 4 months ago - Pushed: over 5 years ago - Stars: 6 - Forks: 4

psteinb/compass

drop-in header-only C++ library to detect hardware capabilities at runtime and at compiletime

Language: C++ - Size: 188 KB - Last synced: about 1 year ago - Pushed: over 5 years ago - Stars: 16 - Forks: 1

thotypous/simple-mips

Simple MIPS µC for educational purposes

Language: C - Size: 414 KB - Last synced: 12 months ago - Pushed: almost 10 years ago - Stars: 5 - Forks: 0

electricsquare/chip-history

Language: JavaScript - Size: 2.24 MB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 2 - Forks: 1

Noxet/mips

A MIPS processor in VHDL for FPGA and ASIC

Language: Verilog - Size: 20.2 MB - Last synced: over 1 year ago - Pushed: over 8 years ago - Stars: 2 - Forks: 1