Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: nextpnr

ghdl/docker

Scripts to build and use docker images including GHDL

Language: Shell - Size: 246 KB - Last synced: 1 day ago - Pushed: 1 day ago - Stars: 40 - Forks: 10

PyFPGA/pyfpga

A Python package to use FPGA development tools programmatically.

Language: Python - Size: 4.44 MB - Last synced: about 11 hours ago - Pushed: 1 day ago - Stars: 77 - Forks: 7

Alfredosavi/tangnano-hello

Sipeed Tang Nano: Fully Opensource Toolchain for FPGA Synthesis, Place & Route, and Download/Flash.

Language: Makefile - Size: 4.88 KB - Last synced: 3 days ago - Pushed: 5 days ago - Stars: 0 - Forks: 0

YoWASP/nextpnr

Unofficial nextpnr WebAssembly packages

Language: Shell - Size: 202 KB - Last synced: 11 days ago - Pushed: 15 days ago - Stars: 15 - Forks: 3

kivikakk/hdx 📦

[mirror] HDL development environment on Nix.

Language: Python - Size: 165 KB - Last synced: 14 days ago - Pushed: 29 days ago - Stars: 21 - Forks: 0

Archfx/ice40lib

Peripheral library 📚 for open source FPGAs based on iCE40. (Configured for ICESugar-Nano)

Language: Verilog - Size: 217 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 3 - Forks: 0

lushaylabs/tangnano9k-series-examples

Examples for the Lushay Labs tang nano 9k series

Language: GLSL - Size: 789 KB - Last synced: about 1 month ago - Pushed: 2 months ago - Stars: 63 - Forks: 13

multigcs/LinuxCNC-RIO

RealtimeIO for LinuxCNC based on an FPGA

Language: Python - Size: 27.9 MB - Last synced: 30 days ago - Pushed: 4 months ago - Stars: 59 - Forks: 15

shrine-maiden-heavy-industries/torii-hdl Fork of amaranth-lang/amaranth

A modern hardware definition language and toolchain based on Python

Language: Python - Size: 751 MB - Last synced: about 1 month ago - Pushed: about 1 month ago - Stars: 9 - Forks: 4

chipsalliance/fpga-tool-perf

FPGA tool performance profiling

Language: Python - Size: 9.69 MB - Last synced: about 2 months ago - Pushed: 3 months ago - Stars: 96 - Forks: 26

kittennbfive/5A-75B-tools

a collection of tools made while messing with the Colorlight 5A-75B V7.0 and some notes using ECP5 with Yosys

Language: HTML - Size: 3.38 MB - Last synced: 3 months ago - Pushed: 8 months ago - Stars: 15 - Forks: 2

chili-chips-ba/openXC7-TetriSaraj

Demo of how to use https://github.com/openXC7 tools (yosys+nextpnr-xilinx) to implement the HW side of a custom SoC with RISC-V CPU & our special Video Controller in Basys3 Artix7-35T. Complemented with SW in the bare-metal 'C' they, together, make for this classic game. Except that it's now, in the standard BiH tradition, with a twist of our own.

Language: Verilog - Size: 8.93 MB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 8 - Forks: 1

multigcs/FPGA-blinky

Language: Makefile - Size: 90.8 KB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 3 - Forks: 1

romavis/lwdo-sdr-fw

LWDO-SDR firmware (FPGA & FTDI)

Language: Verilog - Size: 353 KB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 5 - Forks: 2

kokjo/misc_fpga

iCE40 and ECP5 fpga libraries and projects. Using the open source toolchain yosys+nextpnr. Quality may vary.

Language: Verilog - Size: 41 KB - Last synced: 11 months ago - Pushed: almost 5 years ago - Stars: 4 - Forks: 1

ECP5-PCIe/ECP5-PCIe

Mirror of https://codeberg.org/ECP5-PCIe/ECP5-PCIe

Language: Python - Size: 43 MB - Last synced: about 1 year ago - Pushed: about 1 year ago - Stars: 83 - Forks: 5

osresearch/up5k

Upduino v2 with the ice40 up5k FPGA demos

Language: Verilog - Size: 950 KB - Last synced: about 1 year ago - Pushed: about 3 years ago - Stars: 69 - Forks: 14

PyFPGA/openflow

A Python library, and CLI utilities, which solves HDL-to-bitstream based on FOSS.

Language: Python - Size: 24.4 KB - Last synced: about 1 year ago - Pushed: about 3 years ago - Stars: 3 - Forks: 1

DoctorWkt/ULX3S-Blinky

A blinky project for the ULX3S v3.0.3 FPGA board

Language: Verilog - Size: 588 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 12 - Forks: 5

benallard/nextpnr-turingtumble

A nextpnr arch definition for the TuringTumble board game.

Language: Verilog - Size: 24.4 KB - Last synced: about 1 year ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

siliconwitchery/homebrew-oss-fpga Fork of ktemkin/homebrew-oss-fpga

Homebrew formulae for building FPGA bitstreams with open-source tools.

Language: Ruby - Size: 24.4 KB - Last synced: about 1 year ago - Pushed: almost 2 years ago - Stars: 1 - Forks: 0

VLSIDA/fpga-vagrant-image

Vagrant configuration files for Ubuntu w/ most recent Yosys + Nextpnr + Icestorm.

Size: 18.6 KB - Last synced: about 2 months ago - Pushed: over 4 years ago - Stars: 3 - Forks: 1

PyFPGA/poc

Proofs of concept about FPGA EDA tools.

Language: Shell - Size: 37.1 KB - Last synced: about 1 year ago - Pushed: about 2 years ago - Stars: 2 - Forks: 0

PyFPGA/symbiflow_cli

A possible replacement for openflow, which would be ideally contributed to the SymbiFlow project

Language: Python - Size: 470 KB - Last synced: about 1 year ago - Pushed: almost 3 years ago - Stars: 0 - Forks: 0

jwrr/z80usb

Z80 + USB + TinyFPGA-BX in Verilog using open-source Yosys+NextPNR

Language: Verilog - Size: 42 KB - Last synced: about 1 year ago - Pushed: over 3 years ago - Stars: 0 - Forks: 1

gojimmypi/nextpnr-issue235

supplement to nextpnr issue #235

Language: C++ - Size: 36.1 KB - Last synced: over 1 year ago - Pushed: over 5 years ago - Stars: 0 - Forks: 0