Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: zybo

coldnew/zybo-templates

Basic project template for Xilinx zynq-7000 ZYBO board

Language: C - Size: 3.02 MB - Last synced: about 2 months ago - Pushed: about 6 years ago - Stars: 1 - Forks: 2

xmpf/ntua-microlab

Course: Microprocessor Laboratory Course (NTUA)

Language: Assembly - Size: 55.8 MB - Last synced: 3 months ago - Pushed: over 5 years ago - Stars: 0 - Forks: 0

nick-petrovsky/PYNQ-ZYBO

PYNQ for Zybo board

Language: Tcl - Size: 60.5 KB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 3 - Forks: 0

AustinOwens/robodog

Robotic quadruped project.

Size: 9.19 MB - Last synced: 4 months ago - Pushed: 4 months ago - Stars: 35 - Forks: 10

ikwzm/FPGA-SoC-Debian12

Debian12 Boot Image (U-boot, Linux Kernel, Debian12 RootFS) for ZYBO/ZYBO-Z7/PYNQ-Z1/DE10-Nano/DE0-Nano-SoC

Size: 1.03 GB - Last synced: 5 months ago - Pushed: 5 months ago - Stars: 4 - Forks: 1

lazuardinfl/Tic-tac-toe-FPGA

Tic-Tac-Toe with SoC FPGA

Language: VHDL - Size: 25.3 MB - Last synced: 6 months ago - Pushed: almost 3 years ago - Stars: 0 - Forks: 0

ikwzm/FPGA-SoC-Linux-Kernel-6.1

Linux Kernel (6.1) Image, Device Trees, Debian Packages for Zynq , CycloneV SoC

Size: 93.9 MB - Last synced: 5 months ago - Pushed: 5 months ago - Stars: 2 - Forks: 0

ikwzm/FPGA-SoC-Linux

FPGA+SoC+Linux+Device Tree Overlay+FPGA Manager U-Boot&Linux Kernel&Debian11 Images (for Xilinx:Zynq-Zybo:PYNQ-Z1 Altera:de0-nano-soc:de10-nano)

Language: C - Size: 819 MB - Last synced: 7 months ago - Pushed: 12 months ago - Stars: 146 - Forks: 55

yohanes-erwin/zynq7000

[Course] Hands-On ZYNQ: Mastering AXI4 Bus Protocol

Language: Verilog - Size: 23.4 KB - Last synced: 8 months ago - Pushed: over 4 years ago - Stars: 9 - Forks: 7

wyattduber/CyDAQ

CyDAQ DSP Platform Firmware and Software Redesign - Iowa State University Senior Design May 2023 Group 47 - Blake Fisher, Cole Langner, Corbin Kems, Jens Rasmussen, Long Zeng, Wyatt Duberstein, Yohan Bopearatchy

Language: C - Size: 984 MB - Last synced: 6 months ago - Pushed: 6 months ago - Stars: 2 - Forks: 0

Kampi/ZYBO

Miscellaneous things and projects for my ZYBO and ZYNQ devices.

Language: VHDL - Size: 545 MB - Last synced: 9 months ago - Pushed: 9 months ago - Stars: 7 - Forks: 3

sunsided/zybo-tutorial

Quick-Start tutorial for the Digilent ZYBO Zynq-7010 FPGA board using ISE 14/PlanAhead

Size: 8.61 MB - Last synced: about 2 months ago - Pushed: about 10 years ago - Stars: 4 - Forks: 1

atticusrussell/HwSw-Crypto-Xilinx-Template

Template for using Xilinx Vivado and SDK with CMPE-661 (HWSW Crypto) projects in a version control friendly way.

Language: VHDL - Size: 16.9 MB - Last synced: 12 months ago - Pushed: 12 months ago - Stars: 0 - Forks: 0

aletempiac/DES-cracker

DES cracking machine on FPGA

Language: VHDL - Size: 1.47 MB - Last synced: 11 months ago - Pushed: almost 5 years ago - Stars: 17 - Forks: 6

narendiran1996/vga_controller

Implementation of a VGA Controller in Verilog (Both Graphics Mode and Text Mode)

Language: Jupyter Notebook - Size: 7.66 MB - Last synced: 10 months ago - Pushed: almost 3 years ago - Stars: 4 - Forks: 0

Yourigh/Rotary-encoder-VHDL-design

VHDL design for rotary encoder. Can be used accessed via digital signals or AXI interface.

Language: VHDL - Size: 25.4 KB - Last synced: 12 months ago - Pushed: about 7 years ago - Stars: 10 - Forks: 2

ssincan/kvm-ip-zynq

KVM over IP Gateway targeting Zynq-7000 SoC

Language: VHDL - Size: 6.2 MB - Last synced: about 1 year ago - Pushed: about 2 years ago - Stars: 17 - Forks: 5

Kampi/VHDL

Some VHDL projects, created with and for my ZYBO.

Language: VHDL - Size: 79.6 MB - Last synced: about 1 year ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

mavalderrama/AI-flood-prediction-system

This is an IoT Edge computing system powered by an ANN to predict floods on cities near Mississippi river

Language: Objective-C - Size: 37.7 MB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 1 - Forks: 0

Yourigh/Digilent_ZYBO_FPGA_Train_game

Game using touch screen implemented to FPGA.

Language: VHDL - Size: 13.3 MB - Last synced: 12 months ago - Pushed: about 7 years ago - Stars: 2 - Forks: 1

Kampi/Zybo-Linux

A complete Linux project for the ZYBO. This project helps me during my first steps with embedded Linux. You can find anything necessary to run your own embedded Linux on your ZYBO here.

Language: VHDL - Size: 281 MB - Last synced: about 1 year ago - Pushed: over 3 years ago - Stars: 9 - Forks: 3

iwatake2222/ZYBO_IoT_Vivado

This is a Vivado project to create an IoT device with ZYBO (Zynq).

Language: VHDL - Size: 17 MB - Last synced: over 1 year ago - Pushed: over 6 years ago - Stars: 8 - Forks: 5

metasophiea/SIMON_VHDL

Three different implementations of the Simon block cipher, each with raspberry pi connection software

Language: VHDL - Size: 7.37 MB - Last synced: about 1 year ago - Pushed: almost 7 years ago - Stars: 1 - Forks: 1

VenciFreeman/Guetzli

Try to embed it on Zynq board.

Language: C++ - Size: 25.4 MB - Last synced: about 1 year ago - Pushed: over 4 years ago - Stars: 2 - Forks: 2

mavalderrama/hw_edge_computing

ucos

Language: VHDL - Size: 27.4 MB - Last synced: about 1 year ago - Pushed: over 7 years ago - Stars: 1 - Forks: 0

Kampi/IP-Catalog

This is my private ip repository with different IP cores for my Zybo.

Language: VHDL - Size: 53.1 MB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 1 - Forks: 0

plkpiotr/reconfigurable

Introduction to reconfigurable computing in Verilog [2018]

Language: Verilog - Size: 30.3 KB - Last synced: about 1 year ago - Pushed: about 6 years ago - Stars: 0 - Forks: 1

ikwzm/FPGA-SoC-U-Boot-ZYBO

U-Boot image for ZYBO

Language: Shell - Size: 1.15 MB - Last synced: about 1 year ago - Pushed: about 6 years ago - Stars: 1 - Forks: 0

hangryusprime/Zybo_xc7z010_LED_Blinker

Zybo Zynq-7000 (xc7z010) Demo code for LED Blinker

Language: Verilog - Size: 7.81 KB - Last synced: about 1 year ago - Pushed: almost 6 years ago - Stars: 1 - Forks: 1

episource/vivado-training

Various custom training projects related to Xilinx Zynq development.

Language: HTML - Size: 23.1 MB - Last synced: about 1 year ago - Pushed: over 6 years ago - Stars: 0 - Forks: 1

mmaguero/MII-SC16-17

[SC-MII-UGR-2016-17] Proyectos de la asignatura "Sistemas Críticos" del Máster Universitario en Ingeniería Informática del curso 2016-17 de la UGR

Language: C - Size: 44.6 MB - Last synced: over 1 year ago - Pushed: about 7 years ago - Stars: 0 - Forks: 0