Topic: "xilinx"
open-sdr/openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software
Language: C - Size: 24.4 MB - Last synced at: 1 day ago - Pushed at: 3 days ago - Stars: 4,152 - Forks: 701

LeiWang1999/FPGA
帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目
Size: 58.6 KB - Last synced at: 7 months ago - Pushed at: almost 3 years ago - Stars: 3,905 - Forks: 658

pConst/basic_verilog
Must-have verilog systemverilog modules
Language: Verilog - Size: 54.2 MB - Last synced at: 7 months ago - Pushed at: 10 months ago - Stars: 1,627 - Forks: 376

trabucayre/openFPGALoader
Universal utility for programming FPGA
Language: C++ - Size: 7.15 MB - Last synced at: about 21 hours ago - Pushed at: 2 days ago - Stars: 1,321 - Forks: 290

Xilinx/brevitas
Brevitas: neural network quantization in PyTorch
Language: Python - Size: 20.2 MB - Last synced at: 6 days ago - Pushed at: 6 days ago - Stars: 1,304 - Forks: 212

hdl-util/hdmi
Send video/audio over HDMI on an FPGA
Language: SystemVerilog - Size: 4.13 MB - Last synced at: 8 days ago - Pushed at: over 1 year ago - Stars: 1,153 - Forks: 125

ultraembedded/biriscv
32-bit Superscalar RISC-V CPU
Language: Verilog - Size: 2.98 MB - Last synced at: about 1 month ago - Pushed at: over 3 years ago - Stars: 980 - Forks: 164

eugene-tarassov/vivado-risc-v
Xilinx Vivado block designs for FPGA RISC-V SoC running Debian Linux distro
Language: Tcl - Size: 36.1 MB - Last synced at: 22 days ago - Pushed at: 27 days ago - Stars: 933 - Forks: 209

f4pga/prjxray
Documenting the Xilinx 7-series bit-stream format.
Language: Python - Size: 6.51 MB - Last synced at: 23 days ago - Pushed at: 25 days ago - Stars: 796 - Forks: 156

Cr4sh/s6_pcie_microblaze
PCI Express DIY hacking toolkit for Xilinx SP605. This repository is also home of Hyper-V Backdoor and Boot Backdoor, check readme for links and info
Language: C - Size: 38.4 MB - Last synced at: about 1 month ago - Pushed at: 12 months ago - Stars: 786 - Forks: 158

open-sdr/openwifi-hw
open-source IEEE 802.11 WiFi baseband FPGA (chip) design: FPGA, hardware
Language: Verilog - Size: 484 MB - Last synced at: 10 days ago - Pushed at: 10 days ago - Stars: 753 - Forks: 256

olofk/edalize
An abstraction library for interfacing EDA tools
Language: Python - Size: 1.08 MB - Last synced at: about 20 hours ago - Pushed at: 9 days ago - Stars: 684 - Forks: 200

trivialmips/nontrivial-mips
NonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.
Language: SystemVerilog - Size: 20.4 MB - Last synced at: 6 days ago - Pushed at: almost 5 years ago - Stars: 598 - Forks: 102

VLSI-EDA/PoC
IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany
Language: VHDL - Size: 4.96 MB - Last synced at: 26 days ago - Pushed at: over 4 years ago - Stars: 577 - Forks: 103

ZipCPU/wb2axip
Bus bridges and other odds and ends
Language: Verilog - Size: 8.78 MB - Last synced at: 22 days ago - Pushed at: about 1 month ago - Stars: 544 - Forks: 110

Xilinx/Vitis_Accel_Examples
Vitis_Accel_Examples
Language: Makefile - Size: 107 MB - Last synced at: 2 days ago - Pushed at: 2 days ago - Stars: 538 - Forks: 217

jofrfu/tinyTPU
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Language: VHDL - Size: 1.42 MB - Last synced at: about 1 month ago - Pushed at: over 6 years ago - Stars: 452 - Forks: 64

f32c/f32c
A 32-bit MIPS / RISC-V core & SoC, 1.55 DMIPS/MHz, 2.96 CM/Mhz
Language: C - Size: 11.6 MB - Last synced at: about 10 hours ago - Pushed at: about 11 hours ago - Stars: 413 - Forks: 105

bperez77/xilinx_axidma
A zero-copy Linux driver and a userspace interface library for Xilinx's AXI DMA and VDMA IP blocks. These serve as bridges for communication between the processing system and FPGA programmable logic fabric, through one of the DMA ports on the Zynq processing system. Distributed under the MIT License.
Language: C - Size: 271 KB - Last synced at: over 1 year ago - Pushed at: over 2 years ago - Stars: 395 - Forks: 206

Xilinx/SDAccel_Examples
SDAccel Examples
Language: C++ - Size: 366 MB - Last synced at: 6 months ago - Pushed at: almost 3 years ago - Stars: 356 - Forks: 209

WangXuan95/Xilinx-FPGA-PCIe-XDMA-Tutorial
Xilinx FPGA PCIe 保姆级教程 ——基于 PCIe XDMA IP核
Language: Batchfile - Size: 48.6 MB - Last synced at: 11 months ago - Pushed at: over 1 year ago - Stars: 340 - Forks: 68

pavel-demin/red-pitaya-notes
Notes on the Red Pitaya Open Source Instrument
Language: Tcl - Size: 10.9 MB - Last synced at: 8 months ago - Pushed at: 8 months ago - Stars: 334 - Forks: 207

Xilinx/RapidWright
Build Customized FPGA Implementations for Vivado
Language: Java - Size: 7.52 MB - Last synced at: 1 day ago - Pushed at: 1 day ago - Stars: 316 - Forks: 116

definelicht/hlslib
A collection of extensions for Vitis and Intel FPGA OpenCL to improve developer quality of life.
Language: C++ - Size: 577 KB - Last synced at: 4 months ago - Pushed at: 4 months ago - Stars: 311 - Forks: 58

Xilinx/CHaiDNN
HLS based Deep Neural Network Accelerator Library for Xilinx Ultrascale+ MPSoCs
Language: C++ - Size: 168 MB - Last synced at: over 1 year ago - Pushed at: almost 6 years ago - Stars: 301 - Forks: 151

tymonx/logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Language: SystemVerilog - Size: 820 KB - Last synced at: about 1 month ago - Pushed at: over 5 years ago - Stars: 275 - Forks: 60

lastweek/fpga_readings
Recipe for FPGA cooking
Language: Verilog - Size: 51.8 MB - Last synced at: over 1 year ago - Pushed at: almost 4 years ago - Stars: 258 - Forks: 56

JPShag/PCILeech-DMA-Firmware
The last Pcileech DMA CFW guide you will ever need. Sponsored by DMAPolice.com
Size: 2.59 MB - Last synced at: 4 days ago - Pushed at: 4 days ago - Stars: 257 - Forks: 64

suoto/hdl_checker
Repurposing existing HDL tools to help writing better code
Language: Python - Size: 1.05 MB - Last synced at: 6 months ago - Pushed at: 11 months ago - Stars: 192 - Forks: 22

hdl-modules/hdl-modules
A collection of reusable, high-quality, peer-reviewed VHDL building blocks.
Language: VHDL - Size: 3.56 MB - Last synced at: 12 days ago - Pushed at: 12 days ago - Stars: 163 - Forks: 28

fpgasystems/Vitis_with_100Gbps_TCP-IP
100 Gbps TCP/IP stack for Vitis shells
Language: C++ - Size: 2.17 MB - Last synced at: about 1 year ago - Pushed at: about 1 year ago - Stars: 160 - Forks: 68

19801201/SpinalHDL_CNN_Accelerator
CNN accelerator implemented with Spinal HDL
Language: Scala - Size: 2.25 MB - Last synced at: 24 days ago - Pushed at: over 1 year ago - Stars: 148 - Forks: 37

Xtra-Computing/ThunderGP
HLS-based Graph Processing Framework on FPGAs
Language: C++ - Size: 7.09 MB - Last synced at: about 1 month ago - Pushed at: over 2 years ago - Stars: 144 - Forks: 32

fischermoseley/manta
A configurable and approachable tool for FPGA debugging and rapid prototyping.
Language: Python - Size: 10.3 MB - Last synced at: 16 days ago - Pushed at: about 1 month ago - Stars: 135 - Forks: 12

Xilinx/xup_vitis_network_example
VNx: Vitis Network Examples
Language: Jupyter Notebook - Size: 2.35 MB - Last synced at: 10 months ago - Pushed at: 10 months ago - Stars: 131 - Forks: 42

UCLA-VAST/AutoBridge
[FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.
Language: C++ - Size: 11.1 MB - Last synced at: 23 days ago - Pushed at: over 2 years ago - Stars: 122 - Forks: 26

z4yx/petalinux-docker
Dockerfile to build docker images with Petalinux (Tested on version 2018.3~2021.1)
Language: Dockerfile - Size: 17.6 KB - Last synced at: about 1 month ago - Pushed at: about 3 years ago - Stars: 118 - Forks: 68

trivialmips/TrivialMIPS
MIPS32 CPU implemented in SystemVerilog, with superscalar and FPU support
Language: SystemVerilog - Size: 84.3 MB - Last synced at: 6 days ago - Pushed at: about 6 years ago - Stars: 106 - Forks: 35

nxbyte/ARM-LEGv8
Verilog Implementation of an ARM LEGv8 CPU
Language: Verilog - Size: 3.96 MB - Last synced at: 6 months ago - Pushed at: over 6 years ago - Stars: 97 - Forks: 29

iDoka/awesome-fpga-boards
:atm: Second life for FPGA boards which can be repurposed to DYI/Hobby projects ...............................................................................................
Size: 9.28 MB - Last synced at: 8 days ago - Pushed at: over 4 years ago - Stars: 93 - Forks: 12

nxbyte/Verilog-Projects
This repository contains source code for past labs and projects involving FPGA and Verilog based designs
Language: Verilog - Size: 2.23 MB - Last synced at: over 1 year ago - Pushed at: over 5 years ago - Stars: 91 - Forks: 21

chipsalliance/yosys-f4pga-plugins
Plugins for Yosys developed as part of the F4PGA project.
Language: Verilog - Size: 4.57 MB - Last synced at: about 1 month ago - Pushed at: about 1 year ago - Stars: 83 - Forks: 47

hex-five/multizone-sdk
MultiZone® Security TEE is the quick and safe way to add security and separation to any RISC-V processors. The RISC-V standard ISA doesn't define TrustZone-like primitives to provide hardware separation. To shield critical functionality from untrusted third-party components, MultiZone provides hardware-enforced, software-defined separation of multi
Language: C - Size: 8.66 MB - Last synced at: 27 days ago - Pushed at: over 1 year ago - Stars: 83 - Forks: 24

hukenovs/intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Language: VHDL - Size: 309 KB - Last synced at: 2 months ago - Pushed at: over 2 years ago - Stars: 81 - Forks: 26

Paebbels/JSON-for-VHDL
A JSON library implemented in VHDL.
Language: VHDL - Size: 138 KB - Last synced at: 29 days ago - Pushed at: over 2 years ago - Stars: 78 - Forks: 17

cea-wind/SimpleTPU
A FPGA Based CNN accelerator, following Google's TPU V1.
Language: C++ - Size: 483 KB - Last synced at: about 2 years ago - Pushed at: almost 6 years ago - Stars: 77 - Forks: 33

stnolting/neorv32-setups
📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.
Language: VHDL - Size: 846 KB - Last synced at: 3 days ago - Pushed at: 3 days ago - Stars: 75 - Forks: 24

Cr4sh/pico_dma
Autonomous pre-boot DMA attack hardware implant for M.2 slot based on PicoEVB development board
Language: C - Size: 4.81 MB - Last synced at: about 1 month ago - Pushed at: over 1 year ago - Stars: 75 - Forks: 14

halfmanhalftaco/fpga-docker
Tools for running FPGA vendor toolchains with Docker
Language: Makefile - Size: 32.2 KB - Last synced at: about 1 month ago - Pushed at: about 2 years ago - Stars: 74 - Forks: 15

jeremytrimble/ezdma
Simple, zero-copy DMA to/from userspace.
Language: C - Size: 29.3 KB - Last synced at: over 1 year ago - Pushed at: almost 2 years ago - Stars: 72 - Forks: 27

mithro/ixo-usb-jtag Fork of svn2github/ixo-usb-jtag
usb-jtag - Altera USB Blaster Emulation with a FX2
Language: C++ - Size: 181 KB - Last synced at: 4 days ago - Pushed at: almost 4 years ago - Stars: 70 - Forks: 31

BrianHGinc/BrianHG-DDR3-Controller
DDR3 Controller v1.65, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included.
Language: SystemVerilog - Size: 9.94 MB - Last synced at: 11 months ago - Pushed at: about 1 year ago - Stars: 68 - Forks: 29

f4pga/prjuray
Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.
Language: SystemVerilog - Size: 1.37 MB - Last synced at: about 1 year ago - Pushed at: over 3 years ago - Stars: 66 - Forks: 12

Parretto/DisplayPort
DisplayPort IP-core
Language: SystemVerilog - Size: 6.99 MB - Last synced at: 6 days ago - Pushed at: 7 days ago - Stars: 63 - Forks: 11

f4pga/prjxray-db
Project X-Ray Database: XC7 Series
Language: Shell - Size: 62.5 MB - Last synced at: 6 months ago - Pushed at: over 3 years ago - Stars: 63 - Forks: 32

Cr4sh/zc_pcie_dma
DMA attacks over PCI Express based on Xilinx Zynq-7000 series SoC
Language: Tcl - Size: 5.87 MB - Last synced at: about 1 month ago - Pushed at: about 4 years ago - Stars: 62 - Forks: 18

Xilinx/PYNQ_Composable_Pipeline
PYNQ Composabe Overlays
Language: Tcl - Size: 5.36 MB - Last synced at: 11 months ago - Pushed at: 11 months ago - Stars: 61 - Forks: 22

suoto/vim-hdl 📦
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Language: Python - Size: 460 KB - Last synced at: about 2 years ago - Pushed at: almost 4 years ago - Stars: 61 - Forks: 6

duskwuff/Xilinx-ISE-Makefile
An example of how to use the Xilinx ISE toolchain from the command line
Language: Makefile - Size: 8.79 KB - Last synced at: about 2 months ago - Pushed at: almost 6 years ago - Stars: 61 - Forks: 23

hukenovs/fp23fftk
Floating point Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source lang. - VHDL).
Language: VHDL - Size: 1.27 MB - Last synced at: about 1 month ago - Pushed at: almost 3 years ago - Stars: 58 - Forks: 18

Xilinx/ResNet50-PYNQ 📦
Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ
Language: C++ - Size: 8.5 MB - Last synced at: about 1 month ago - Pushed at: over 3 years ago - Stars: 57 - Forks: 15

HWAC-DL/hwac_object_tracker
FPGA accelerated TinyYOLO v2 object detection neural network
Language: HTML - Size: 39.6 MB - Last synced at: about 2 years ago - Pushed at: almost 7 years ago - Stars: 56 - Forks: 17

MJoergen/HyperRAM
Portable HyperRAM controller
Language: VHDL - Size: 4.2 MB - Last synced at: 5 days ago - Pushed at: 5 months ago - Stars: 54 - Forks: 14

Xilinx/Alveo-PYNQ
Introductory examples for using PYNQ with Alveo
Language: Jupyter Notebook - Size: 1.16 MB - Last synced at: 9 months ago - Pushed at: about 2 years ago - Stars: 47 - Forks: 17

KeitetsuWorks/EBAZ4205
Vivado and PetaLinux projects for Zynq EBAZ4205 Board
Language: HTML - Size: 610 KB - Last synced at: about 2 years ago - Pushed at: over 3 years ago - Stars: 47 - Forks: 18

tymonx/virtio
Virtio implementation in SystemVerilog
Language: SystemVerilog - Size: 44.9 KB - Last synced at: about 2 months ago - Pushed at: over 7 years ago - Stars: 47 - Forks: 11

Xilinx/xup_compute_acceleration
Hands-on experience using the Vitis unified software platform with Xilinx FPGA hardware
Language: C++ - Size: 20.2 MB - Last synced at: 10 months ago - Pushed at: 10 months ago - Stars: 46 - Forks: 13

MeowLucian/SDR_FM_Radio
:radio: Using Software Designed Radio to transmit & receive FM signal
Language: Matlab - Size: 16.8 MB - Last synced at: about 1 month ago - Pushed at: about 7 years ago - Stars: 44 - Forks: 21

jfoshea/Viterbi-Decoder-in-Verilog
An efficient implementation of the Viterbi decoding algorithm in Verilog
Language: Verilog - Size: 7.57 MB - Last synced at: 10 months ago - Pushed at: about 1 year ago - Stars: 41 - Forks: 20

OVGN/OpenHBMC
Open-source high performance AXI4-based HyperRAM memory controller
Language: Verilog - Size: 3.57 MB - Last synced at: over 1 year ago - Pushed at: over 2 years ago - Stars: 40 - Forks: 8

ahirsharan/32-Bit-Floating-Point-Adder
Verilog Implementation of 32-bit Floating Point Adder
Language: Verilog - Size: 458 KB - Last synced at: 22 days ago - Pushed at: about 5 years ago - Stars: 39 - Forks: 11

Elphel/eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Language: Verilog - Size: 1.49 MB - Last synced at: 6 months ago - Pushed at: over 7 years ago - Stars: 39 - Forks: 17

spcl/apfp
FPGA acceleration of arbitrary precision floating point computations.
Language: C++ - Size: 304 KB - Last synced at: about 1 month ago - Pushed at: almost 3 years ago - Stars: 38 - Forks: 7

themperek/cocotb-vivado
Limited python / cocotb interface to Xilinx/AMD Vivado simulator.
Language: Python - Size: 36.1 KB - Last synced at: 18 days ago - Pushed at: 4 months ago - Stars: 37 - Forks: 6

JeffDeCola/my-verilog-examples
A place to keep my synthesizable verilog examples.
Language: Verilog - Size: 13.7 MB - Last synced at: 24 days ago - Pushed at: 24 days ago - Stars: 36 - Forks: 11

tongplw/HW-Syn-Lab
⚙Hardware Synthesis Laboratory Using Verilog
Language: Verilog - Size: 16.2 MB - Last synced at: about 2 years ago - Pushed at: about 5 years ago - Stars: 34 - Forks: 9

pontazaricardo/Verilog_Calculator_Matrix_Multiplication
This is a simple project that shows how to multiply two 3x3 matrixes in Verilog.
Language: Verilog - Size: 3.73 MB - Last synced at: about 2 years ago - Pushed at: almost 8 years ago - Stars: 34 - Forks: 8

regymm/GenZ
The open-source Zynq 7000 BSP generator for openXC7
Language: C - Size: 2.54 MB - Last synced at: 6 days ago - Pushed at: 4 months ago - Stars: 33 - Forks: 1

Elphel/x393
mirror of https://git.elphel.com/Elphel/x393
Language: Verilog - Size: 166 MB - Last synced at: over 1 year ago - Pushed at: about 2 years ago - Stars: 33 - Forks: 20

RSPwFPGAs/opae-xilinx
OPAE porting to Xilinx FPGA devices.
Language: Coq - Size: 6.66 MB - Last synced at: over 1 year ago - Pushed at: almost 5 years ago - Stars: 33 - Forks: 13

hukenovs/tcl_for_fpga
TCL scripts for FPGA (Xilinx)
Language: Tcl - Size: 26.4 KB - Last synced at: 2 months ago - Pushed at: almost 3 years ago - Stars: 31 - Forks: 4

Teddy-van-Jerry/sdr-psk-fpga
Dual-Mode PSK Transceiver on SDR With FPGA
Language: Verilog - Size: 281 MB - Last synced at: about 2 months ago - Pushed at: 7 months ago - Stars: 28 - Forks: 13

d953i/Custom_Part_Data_Files
Xilinx PCIe to MIG DDR4 example designs and custom part data files
Language: Tcl - Size: 28.6 MB - Last synced at: over 1 year ago - Pushed at: over 1 year ago - Stars: 28 - Forks: 17

z4yx/vivado-docker Fork of BBN-Q/vivado-docker
Dockerfile with Vivado for CI
Language: Dockerfile - Size: 15.6 KB - Last synced at: 23 days ago - Pushed at: about 5 years ago - Stars: 28 - Forks: 10

FlatAssembler/PicoBlaze_Simulator_in_JS
Simulator (more accurately: an assembler and an emulator) for Xilinx PicoBlaze, runnable in a browser. That is my Bachelor thesis.
Language: JavaScript - Size: 33.8 MB - Last synced at: 8 days ago - Pushed at: 8 days ago - Stars: 26 - Forks: 4

iDoka/eda-scripts
Collect of various scripts for helping work with EDA-tools (ASIC, FPGA, etc)
Language: Shell - Size: 220 KB - Last synced at: 11 months ago - Pushed at: 11 months ago - Stars: 25 - Forks: 2

geraked/verilog-rle
Verilog Implementation of Run Length Encoding for RGB Image Compression
Language: Verilog - Size: 11.6 MB - Last synced at: 8 days ago - Pushed at: almost 4 years ago - Stars: 25 - Forks: 4

GOOD-Stuff/FPGA-SPI-Flash
Various projects of SPI loader module for xilinx fpga
Language: VHDL - Size: 113 MB - Last synced at: 12 months ago - Pushed at: almost 5 years ago - Stars: 25 - Forks: 5

zslwyuan/Hi-DMM
Hi-DMM: High-Performance Dynamic Memory Management in HLS (High-Level Synthesis)
Language: VHDL - Size: 395 MB - Last synced at: over 1 year ago - Pushed at: over 6 years ago - Stars: 25 - Forks: 9

Goshik92/SHA256Hasher
SHA-256 IP core for ZedBoard (Zynq SoC)
Language: Verilog - Size: 15.5 MB - Last synced at: about 2 years ago - Pushed at: almost 7 years ago - Stars: 25 - Forks: 13

tsfpga/tsfpga
A flexible and scalable development platform for modern FPGA projects.
Language: Python - Size: 2.23 MB - Last synced at: 1 day ago - Pushed at: 1 day ago - Stars: 24 - Forks: 5

ECASLab/cynq
PYNQ bindings for C and C++ to avoid requiring Python or Vitis to execute hardware acceleration.
Language: C++ - Size: 4.55 MB - Last synced at: 3 days ago - Pushed at: 3 days ago - Stars: 24 - Forks: 4

chili-chips-ba/openXC7-TetriSaraj
Demo of how to use https://github.com/openXC7 tools (yosys+nextpnr-xilinx) to implement the HW side of a custom SoC with RISC-V CPU & our special Video Controller in Basys3 Artix7-35T. Complemented with SW in the bare-metal 'C' they, together, make for this classic game. Except that it's now, in the standard BiH tradition, with a twist of our own.
Language: Verilog - Size: 25.1 MB - Last synced at: about 1 month ago - Pushed at: 3 months ago - Stars: 24 - Forks: 1

hex-five/multizone-fpga Fork of sifive/freedom
This repository contains the hardware design source files of the Hex Five X300 RISC-V SoC. The X300 SoC is Hex Five's official reference platform for its MultiZone Security Trusted Execution Environment and MultiZone Security Trusted Firmware. The X300 is an enhanced secure version of the - now archived - SiFive's Freedom E300 Platform built around the RISC-V Rocket chip originally developed at U.C. Berkeley.
Language: Scala - Size: 212 KB - Last synced at: almost 2 years ago - Pushed at: almost 2 years ago - Stars: 24 - Forks: 5

ItzzInfinity/100-days-of-RTL
Trying to get a new skill
Language: Verilog - Size: 79.5 MB - Last synced at: 1 day ago - Pushed at: 4 months ago - Stars: 23 - Forks: 6

jge162/ScoreBoard-wTimer
Objective of this project was to emulate a Basketball scoreboard, with timer and two teams scores. See readme for pic and more details. FPGA design with Vivado.
Language: Verilog - Size: 2.38 MB - Last synced at: about 1 year ago - Pushed at: about 1 year ago - Stars: 23 - Forks: 7

benpye/nix-fpga-tools
Language: Nix - Size: 15.6 KB - Last synced at: 14 days ago - Pushed at: over 3 years ago - Stars: 23 - Forks: 4

leonow32/verilog-fpga
Many peripherals in Verilog ready to use
Language: Verilog - Size: 4.89 MB - Last synced at: about 1 year ago - Pushed at: about 1 year ago - Stars: 22 - Forks: 3

lvgl/lv_port_xilinx_zedboard_vitis
This repository contains a template AMP project for the Zedboard using VGA, FreeRTOS, LVGL and USB peripherals
Language: C - Size: 82 MB - Last synced at: about 1 month ago - Pushed at: over 1 year ago - Stars: 22 - Forks: 5

pothosware/PothosZynq
DMA source and sink blocks for Xilinx Zynq FPGAs
Language: VHDL - Size: 17.8 MB - Last synced at: 2 months ago - Pushed at: almost 5 years ago - Stars: 22 - Forks: 17
