Ecosyste.ms: Repos

An open API service providing repository metadata for many open source software ecosystems.

GitHub topics: verilog-programs

Rudra-Joshi-002/Verilog_Codes

This Repository shows the implementation and results of various codes that I write in Verilog HDL

Language: Verilog - Size: 18.9 MB - Last synced: 10 days ago - Pushed: 10 days ago - Stars: 0 - Forks: 0

nobotro/my-created-fpga-verilog-samples

my created fpga verilog samples.

Language: Verilog - Size: 4.33 MB - Last synced: 10 days ago - Pushed: over 2 years ago - Stars: 0 - Forks: 0

agneepradeep/Projects

Welcome to my GitHub repository! Here, you'll find a diverse collection of programs implemented in Java, Python, Verilog, C, C++, and MATLAB. This repository covers a broad spectrum of topics, including data structures and algorithms, providing a valuable resource for learning and reference

Language: C++ - Size: 1.3 MB - Last synced: 13 days ago - Pushed: 13 days ago - Stars: 2 - Forks: 0

p4r4xor/verilog-labs

Pin-point analysis of the questions given in labs using FPGA and ASIC design.

Size: 0 Bytes - Last synced: 18 days ago - Pushed: over 4 years ago - Stars: 1 - Forks: 0

ddurfeeEngineer/System-Verilog-Tutorial-LFSR-

simple system verilog example using an LFSR as the application

Size: 0 Bytes - Last synced: 23 days ago - Pushed: 23 days ago - Stars: 0 - Forks: 0

Raveem13/HDLbits-practice-solution

This is a repository containing my solutions to the problem statements given on HDLBits website.

Language: Verilog - Size: 150 KB - Last synced: 3 months ago - Pushed: 3 months ago - Stars: 1 - Forks: 0

sarthak268/Embedded_Logic_and_Design

This repository contains all labs done as a part of the Embedded Logic and Design course.

Size: 14.7 MB - Last synced: 25 days ago - Pushed: almost 6 years ago - Stars: 21 - Forks: 2

jElhamm/Verilog-HDL-Codes-Collection

"Repository containing a collection of Verilog code modules and test bench for digital design projects. "

Language: Verilog - Size: 387 KB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 0 - Forks: 0

Nidhinchandran47/my_rtl_code

Repository for RTL building blocks #100daysofrtl VERILOG VHDL System Verilog

Language: Verilog - Size: 1.71 MB - Last synced: about 2 months ago - Pushed: about 2 months ago - Stars: 8 - Forks: 1

majjitarunkumar/100_Days_Of_Verilog

In this 100_Days_Of_Verilog,I will Upload the Verilog codes for Different problem that we learn in digital electonics.

Language: Verilog - Size: 3.91 KB - Last synced: 7 months ago - Pushed: 7 months ago - Stars: 0 - Forks: 0

Arjun-Narula/Traffic-Light-Controller-using-Verilog

the project includes system design of a t intersection traffic light controller and its verilog code in vivado design suite.

Language: JavaScript - Size: 2.07 MB - Last synced: 8 months ago - Pushed: almost 4 years ago - Stars: 29 - Forks: 7

Raman1121/Verilog-Programs

Collection of different verilog programs taught as a part of the Digital Electronics Course.

Size: 6.84 KB - Last synced: 10 months ago - Pushed: over 6 years ago - Stars: 0 - Forks: 0

neelkshah/MIPS-Processor

5-stage pipelined 32-bit MIPS microprocessor in Verilog

Language: Verilog - Size: 138 KB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 64 - Forks: 13

mihir8181/VerilogHDL-Codes

Synthesizable Verilog Source Codes(DUT), Test-bench and Simulation Results.

Language: Verilog - Size: 3.45 MB - Last synced: about 1 year ago - Pushed: about 5 years ago - Stars: 21 - Forks: 3

ashishrana160796/verilog-starter-tutorials 📦

Tutorial series on verilog with code examples. Contains basic verilog code implementations and concepts.

Language: Verilog - Size: 25.4 KB - Last synced: about 1 year ago - Pushed: over 3 years ago - Stars: 34 - Forks: 17

mohanadtalat91/Verilog-HDL

A Verilog HDL code

Language: Verilog - Size: 16.6 KB - Last synced: about 1 year ago - Pushed: over 1 year ago - Stars: 0 - Forks: 0

YajanaRao/Verilog

Verilog Programs

Language: Verilog - Size: 133 KB - Last synced: 4 days ago - Pushed: about 3 years ago - Stars: 2 - Forks: 0

pendkeomkar/SPI

Title : Communication Bridge between I2C and SPI Platform : RTL Coding (Verilog/System Verilog/VHDL) Duration : 1 Month Description : Both SPI and I2C are robust, stable communication protocols that are widely used in today's complex systems.The I2C bus has a minimum pin count requirement and therefore a smaller footprint on the board. The SPI bus provides a synchronized serial link with performance in MHz range.The project implements the bridge between the two protocols and serves as an interface between these two which allow direct communication and a solution to reduce development time and cost for complex embedded systems.

Size: 8.96 MB - Last synced: about 1 year ago - Pushed: almost 6 years ago - Stars: 8 - Forks: 2

subha-ratno/Verilog-codes

A repository containing new Verilog codes which I learn.

Language: Verilog - Size: 164 KB - Last synced: over 1 year ago - Pushed: almost 2 years ago - Stars: 0 - Forks: 0

pritindra/Verilog_codes

My ongoing practice verilog hdl codes.

Language: Verilog - Size: 6.64 MB - Last synced: about 1 year ago - Pushed: over 2 years ago - Stars: 0 - Forks: 0

InvincibleJuggernaut/Synthesis

A collection of digital circuits using Verilog.

Language: Verilog - Size: 29.3 KB - Last synced: about 1 year ago - Pushed: almost 3 years ago - Stars: 0 - Forks: 0

Arna-Maity/Verilog_Modules

This repository contains a few useful Verilog modules

Language: Verilog - Size: 62.5 KB - Last synced: about 1 year ago - Pushed: about 4 years ago - Stars: 0 - Forks: 0

Related Keywords